Pascal and Francis Bibliographic Databases

Help

Search results

Your search

kw.\*:("Iluminación fuera de eje")

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 53

  • Page / 3
Export

Selection :

  • and

AF Fixer : New incremental OPC method for optimizing Assist FeatureJUNG, Sung-Gon; KIM, Sang-Wook; LUGG, Robert M et al.Proceedings of SPIE, the International Society for Optical Engineering. 2008, Vol 7028, pp 70280Y.1-70280Y.6, issn 0277-786X, isbn 978-0-8194-7243-4 0-8194-7243-3, 2Conference Paper

Absorber height effects on SWA restrictions and 'Shadow' LERMCCLINTON, Brittany M; NAULLEAU, Patrick P; WALLOW, Thomas et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7969, issn 0277-786X, isbn 978-0-8194-8528-1, 796920.1-796920.12, 2Conference Paper

Improvement of KrF contact layer by inverse lithography technology with assist featureSUNGHO JUN; SHIM, Yeon-Ah; XIN ZHOU et al.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7748, issn 0277-786X, isbn 0-8194-8238-2 978-0-8194-8238-9, 1Vol, 77481V.1-77481V.9Conference Paper

Improving asymmetric printing and low margin using custom illumination for contact hole lithographyJESSEN, Scott; TERRY, Mark; MASON, Mark et al.Proceedings of SPIE, the International Society for Optical Engineering. 2006, pp 615616.1-615616.12, issn 0277-786X, isbn 0-8194-6199-7, 1VolConference Paper

Advances in DOE modeling and optical performance for SMO applicationsCARRIERE, James; STACK, Jared; CHILDERS, John et al.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7640, issn 0277-786X, isbn 978-0-8194-8054-5 0-8194-8054-1, 764025.1-764025.9, 2Conference Paper

Incident Angle Change Caused by Different Off-Axis Illumination in Extreme Ultraviolet LithographyKIM, Eun-Jin; YOU, Jee-Hye; KIM, Seong-Sue et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7271, issn 0277-786X, isbn 978-0-8194-7524-4 0-8194-7524-6, 727143.1-727143.11, 2Conference Paper

Coupling-aware mixed dummy metal insertion for lithographyLIANG DENG; WONG, Martin D. F; CHAO, Kai-Yuan et al.Proceedings of SPIE, the International Society for Optical Engineering. 2007, pp 65210H.1-65210H.9, issn 0277-786X, isbn 978-0-8194-6640-2Conference Paper

Effects of Mask Bias on the Mask Error Enhancement Factor (MEEF) for low kl lithography processYU YA CHANG; WU, Yuan-Hsun; SHIH, Chiang-Lin et al.Proceedings of SPIE, the International Society for Optical Engineering. 2006, issn 0277-786X, isbn 0-8194-5853-8, 2Vol, Part 2, 757-766Conference Paper

EUV Lithography at Chipmakers has started: Performance Validation of ASML's NXE:3100WAGNER, Christian; BACELAR, Jose; MEILING, Hans et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7969, issn 0277-786X, isbn 978-0-8194-8528-1, 79691F.1-79691F.12, 2Conference Paper

Overcome the Process Limitation by using Inverse Lithography Technology with Assist FeatureSHIM, Yeon-Ah; JUN, Sungho; XIN ZHOU et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7973, issn 0277-786X, isbn 978-0-8194-8532-8, 79732S.1-79732S.10, 2Conference Paper

Point-source approach of source-mask optimizationWEIMIN GAO; SETHI, Satyendra; DOMNENKO, Vitaliy et al.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7748, issn 0277-786X, isbn 0-8194-8238-2 978-0-8194-8238-9, 1Vol, 77480U.1-77480U.8Conference Paper

Process Liability evaluation for beyond 22nm Node using EUVLTAWARAYAMA, Kazuo; AOYAMA, Hajime; KOBIKI, Ayumi et al.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7636, issn 0277-786X, isbn 978-0-8194-8050-7 0-8194-8050-9, 76361O.1-76361O.8, 2Conference Paper

Printing of structures less than 0.3um by I-line exposure using resists TDMR-AR80 und TDMR-AR95BEHRENDT, A; DOW, T; STOEFLIN, K et al.Proceedings of SPIE, the International Society for Optical Engineering. 2007, pp 65193J.1-65193J.8, issn 0277-786X, isbn 978-0-8194-6638-9Conference Paper

Improving Model-Based OPC Performance for sub- 60nm devices using real source optical modelJUNG, Sunggon; KIM, In-Sung; KANG, Young-Seog et al.Proceedings of SPIE, the International Society for Optical Engineering. 2006, pp 61561H.1-61561H.6, issn 0277-786X, isbn 0-8194-6199-7, 1VolConference Paper

A Simple Method of Source Optimization for Advanced NAND FLASH ProcessCHANG, Yi-Shiang; OGASAWARA, Satoshi; FUJII, Koichi et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7973, issn 0277-786X, isbn 978-0-8194-8532-8, 79731Z.1-79731Z.12, 2Conference Paper

Feasibility Study of 45nm Metal Patterning with 0.93 NAYUNG FENG CHENG; YUEH LIN CHOU; YA CHING HOU et al.Proceedings of SPIE, the International Society for Optical Engineering. 2007, pp 65204J.1-65204J.8, issn 0277-786X, isbn 978-0-8194-6639-6Conference Paper

Extending a 193 nm mask inspector for 22 nm HP EUV mask inspectionINDERHEES, Gregg; FU, Tao-Yi; QIANG ZHANG et al.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7823, issn 0277-786X, isbn 978-0-8194-8337-9, 78231Q.1-78231Q.10, 2Conference Paper

Manufacturing implementation of IML<TM> technology for 45nm node contact masksVAN DEN BROEKE, Douglas; HSU, Michael; CHEN, J. Fung et al.Proceedings of SPIE, the International Society for Optical Engineering. 2006, issn 0277-786X, isbn 0-8194-6358-2, vol 1, 62831C.1-62831C.11Conference Paper

Tolerance-based OPC and solution to MRC-constrained OPCYANG PING; XIAOHAI LI; JANG, Stephen et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7973, issn 0277-786X, isbn 978-0-8194-8532-8, 79732M.1-79732M.8, 2Conference Paper

Line end shortening and corner rounding for novel off-axis illumination source shapesMOH LUNG LING; GEK SOON CHUA; QUNYING LIN et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 72742V.1-72742V.12, 2Conference Paper

Novel assist feature design to improve depth of focus in low kl EUV lithographyKANG, Hoyoung.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7520, issn 0277-786X, isbn 978-0-8194-7909-9 0-8194-7909-8, 752037.1-752037.7Conference Paper

Feasibility Study of Splitting Pitch Technology on 45nm Contact Patterning with 0.93 NAYUNG FENG CHENG; YUEH LIN CHOU; TING CHENG TSENG et al.Proceedings of SPIE, the International Society for Optical Engineering. 2007, pp 65202N.1-65202N.8, issn 0277-786X, isbn 978-0-8194-6639-6Conference Paper

New 0.75 NA ArF Scanning Lithographic ToolLIFENG DUAN; JIANRUI CHENG; GANG SUN et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7973, issn 0277-786X, isbn 978-0-8194-8532-8, 79732D.1-79732D.9, 2Conference Paper

Customized illumination shapes for 193nm immersion lithographyMOH LUNG LING; GEK SOON CHUA; QUNYING LIN et al.Proceedings of SPIE, the International Society for Optical Engineering. 2008, pp 692435.1-692435.11, issn 0277-786X, isbn 978-0-8194-7109-3Conference Paper

The study of contact hole for 65nm node with KrFYOU, Tae-Jun; KO, Sung-Woo; MOON, James et al.Proceedings of SPIE, the International Society for Optical Engineering. 2006, issn 0277-786X, isbn 0-8194-6358-2, vol 2, 628336.4-628336.9Conference Paper

  • Page / 3